About Anaconda Help Download Anaconda

LiteX-Hub / packages

Package Name Access Summary Updated
nextpnr-xilinx public nextpnr aims to be a vendor neutral, timing driven, FOSS FPGA place and route tool. 2023-06-16
quicklogic-vtr public A metapackage installing upstream version of the Verilog-to-Routing (VTR). 2023-06-16
quicklogic-vtr-gui public A metapackage installing upstream version of the Verilog-to-Routing (VTR) with GUI support. 2023-06-16
quicklogic-yosys-plugins public No Summary 2023-06-16
quicklogic-yosys public Yosys is a framework for Verilog RTL synthesis. It currently has extensive Verilog-2005 support and provides a basic set of synthesis algorithms for various application domains. 2023-06-16
capnproto-java public Cap'n Proto in pure Java 2023-06-16
capnproto public An insanely fast data interchange format and capability-based RPC system. 2023-06-16
symbiflow-vtr public The Verilog-to-Routing (VTR) project is a world-wide collaborative effort to provide a open-source framework for conducting FPGA architecture and CAD research and development. The VTR design flow takes as input a Verilog description of a digital circuit, and a description of the target FPGA architecture. 2023-06-16
vtr-gui public The Verilog-to-Routing (VTR) project is a world-wide collaborative effort to provide a open-source framework for conducting FPGA architecture and CAD research and development. The VTR design flow takes as input a Verilog description of a digital circuit, and a description of the target FPGA architecture. 2023-06-16
symbiyosys public SymbiYosys (sby) is a front-end driver program for Yosys-based formal hardware verification flows. 2023-06-16
vtr public The Verilog-to-Routing (VTR) project is a world-wide collaborative effort to provide a open-source framework for conducting FPGA architecture and CAD research and development. The VTR design flow takes as input a Verilog description of a digital circuit, and a description of the target FPGA architecture. 2023-06-16
renode public No Summary 2023-06-16
wishbone-tool public All-in-one Wishbone binary, available for a variety of platforms. Useful for interacting with the internal Wishbone bridge on a device. 2023-06-16
libxml2 public The XML C parser and toolkit of Gnome 2023-06-16
dtc public Device Tree Compiler (dtc) toolchain for working with device tree source and binary files and also libfdt, a utility library for reading and manipulating the binary format. 2023-06-16
sigrok-cli public The sigrok project aims at creating a portable, cross-platform, Free/Libre/Open-Source signal analysis software suite that supports various device types (e.g. logic analyzers, oscilloscopes, and many more). 2023-06-16
gcc-riscv64-elf-newlib public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
gcc-riscv64-elf-nostdc public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
gdb-riscv64-elf public GDB, the GNU Project debugger, allows you to see what is going on "inside" another program while it executes -- or what another program was doing at the moment it crashed. 2023-06-16
toolchain-riscv64-linux-musl public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
gcc-ppc64le-linux-musl public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
binutils-riscv64-elf public A set of programming tools for creating and managing binary programs, object files, libraries, profile data, and assembly source code. 2023-06-16
binutils-ppc64le-elf public A set of programming tools for creating and managing binary programs, object files, libraries, profile data, and assembly source code. 2023-06-16
gcc-sh-elf-nostdc public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
binutils-sh-elf public A set of programming tools for creating and managing binary programs, object files, libraries, profile data, and assembly source code. 2023-06-16
toolchain-sh-linux-musl public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
dfu-util public Device Firmware Upgrade Utilities 2023-06-16
gcc-or1k-linux-musl public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
toolchain-or1k-linux-musl public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
toolchain-riscv32-linux-musl public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
fxload public A continuation of the fxload tool from linux-hotplug. 2023-06-16
flterm public Serial boot program for MiSoC 2023-06-16
sdcc public SDCC is a retargettable, optimizing ANSI - C compiler suite that targets the Intel MCS51 based microprocessors (8031, 8032, 8051, 8052, etc.), Maxim (formerly Dallas) DS80C390 variants, Freescale (formerly Motorola) HC08 based (hc08, s08), Zilog Z80 based MCUs (z80, z180, gbz80, Rabbit 2000/3000, Rabbit 3000A, TLCS-90) and STMicroelectronics STM8. Work is in progress on supporting the Microchip PIC16 and PIC18 targets. It can be retargeted for other microprocessors. 2023-06-16
gcc-or1k-elf-nostdc public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
isl public a thread-safe C library for manipulating sets and relations of integer points bounded by affine constraints. 2023-06-16
libusb public A cross-platform library to access USB devices 2023-06-16
gcc-lm32-elf-nostdc public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
nextpnr public nextpnr aims to be a vendor neutral, timing driven, FOSS FPGA place and route tool. 2023-06-16
gcc-riscv32-elf-newlib public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
gcc-riscv32-elf-nostdc public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
arachne-pnr public Arachne-pnr implements the place and route step of the hardware compilation process for FPGAs. It accepts as input a technology-mapped netlist in BLIF format, as output by the Yosys [0] synthesis suite for example. It currently targets the Lattice Semiconductor iCE40 family of FPGAs. Its output is a textual bitstream representation for assembly by the IceStorm icepack command. The output of icepack is a binary bitstream which can be uploaded to a hardware device. Together, Yosys, arachne-pnr and IceStorm provide an fully open-source Verilog-to-bistream tool chain for iCE40 1K and 8K FPGA development. Yosys is a framework for Verilog RTL synthesis. It currently has extensive Verilog-2005 support and provides a basic set of synthesis algorithms for various application domains. 2023-06-16
gcc-lm32-elf-newlib public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
gdb-riscv32-elf public GDB, the GNU Project debugger, allows you to see what is going on "inside" another program while it executes -- or what another program was doing at the moment it crashed. 2023-06-16
gcc-or1k-elf-newlib public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
openocd public OpenOCD provides on-chip programming and debugging support with a layered architecture of JTAG interface and TAP support 2023-06-16
icefunprog public Programmer for Devantech iCE40 modules, iceFUN and iceWerx 2023-06-16
iceprog public Project IceStorm aims at documenting the bitstream format of Lattice iCE40 FPGAs and providing simple tools for analyzing and creating bitstream files. 2023-06-16
libftdi public FTDI USB driver with bitbang mode 2023-06-16
binutils-riscv32-elf public A set of programming tools for creating and managing binary programs, object files, libraries, profile data, and assembly source code. 2023-06-16
binutils-or1k-elf public A set of programming tools for creating and managing binary programs, object files, libraries, profile data, and assembly source code. 2023-06-16

© 2024 Anaconda, Inc. All Rights Reserved. (v4.0.2) Legal | Privacy Policy