CMD + K

zachjs-sv2v

Community

sv2v converts SystemVerilog (IEEE 1800-2017) to Verilog (IEEE 1364-2005), with an emphasis on supporting synthesizable language constructs.

Installation

To install this package, run one of the following:

Conda
$conda install litex-hub::zachjs-sv2v

Usage Tracking

0.0.11_33_gdf01650
0.0.11_32_gf454387
0.0.11_28_g81d8225
0.0.11_27_ge9c01d2
0.0.11_26_g2579bc8
0.0.7_79_ga863321
6 / 8 versions selected
Total downloads: 0

About

Summary

sv2v converts SystemVerilog (IEEE 1800-2017) to Verilog (IEEE 1364-2005), with an emphasis on supporting synthesizable language constructs.

Information Last Updated

Mar 25, 2025 at 16:23

License

BSD

Total Downloads

238.6K

Platforms

Linux 64 Version: 0.0.7_79_ga863321