About Anaconda Help Download Anaconda

LiteX-Hub / packages / zachjs-sv2v 0.0.5_0025_ge9f9696

sv2v converts SystemVerilog (IEEE 1800-2017) to Verilog (IEEE 1364-2005), with an emphasis on supporting synthesizable language constructs.

Installers

  • linux-64 v0.0.11_5_g896b375

conda install

To install this package run one of the following:
conda install litex-hub::zachjs-sv2v

Description


© 2024 Anaconda, Inc. All Rights Reserved. (v4.0.1) Legal | Privacy Policy