About Anaconda Help Download Anaconda

sv2v converts SystemVerilog (IEEE 1800-2017) to Verilog (IEEE 1364-2005), with an emphasis on supporting synthesizable language constructs.

Type Size Name Uploaded Downloads Labels
conda 1.0 MB | linux-64/zachjs-sv2v-v0.0.2_0001_gdd9f040-20200306_235616.tar.bz2  4 years and 7 months ago 38 old
conda 1.0 MB | linux-64/zachjs-sv2v-v0.0.2_0001_gdd9f040-20200306_200754.tar.bz2  4 years and 7 months ago 0 old
conda 1.0 MB | linux-64/zachjs-sv2v-v0.0.2_0001_gdd9f040-20200306_150927.tar.bz2  4 years and 7 months ago 5 old
conda 1.0 MB | linux-64/zachjs-sv2v-v0.0.2_0001_gdd9f040-20200306_130855.tar.bz2  4 years and 7 months ago 6 old
conda 1.0 MB | linux-64/zachjs-sv2v-v0.0.2_0001_gdd9f040-20200306_111438.tar.bz2  4 years and 7 months ago 0 old
conda 1.0 MB | linux-64/zachjs-sv2v-v0.0.2_0001_gdd9f040-20200305_162653.tar.bz2  4 years and 7 months ago 3 old
conda 1.0 MB | linux-64/zachjs-sv2v-v0.0.2_0001_gdd9f040-20200305_145812.tar.bz2  4 years and 7 months ago 0 old
conda 1.0 MB | linux-64/zachjs-sv2v-v0.0.2_0001_gdd9f040-20200304_002908.tar.bz2  4 years and 7 months ago 0 old
conda 1.0 MB | linux-64/zachjs-sv2v-v0.0.2_0001_gdd9f040-20200228_043050.tar.bz2  4 years and 7 months ago 40 old
conda 1.0 MB | linux-64/zachjs-sv2v-v0.0.2_0001_gdd9f040-20200226_215355.tar.bz2  4 years and 7 months ago 1 old
conda 1.0 MB | linux-64/zachjs-sv2v-v0.0.2_0001_gdd9f040-20200226_012229.tar.bz2  4 years and 7 months ago 0 old
conda 1.0 MB | linux-64/zachjs-sv2v-v0.0.2_0001_gdd9f040-20200226_000907.tar.bz2  4 years and 7 months ago 1 old
conda 1.0 MB | linux-64/zachjs-sv2v-v0.0.2_0001_gdd9f040-20200226_000126.tar.bz2  4 years and 7 months ago 0 old
conda 1.0 MB | linux-64/zachjs-sv2v-v0.0.2_0001_gdd9f040-20200225_111841.tar.bz2  4 years and 7 months ago 0 old
conda 1.0 MB | linux-64/zachjs-sv2v-v0.0.2_0001_gdd9f040-20200225_014935.tar.bz2  4 years and 7 months ago 1 old

© 2024 Anaconda, Inc. All Rights Reserved. (v4.0.3) Legal | Privacy Policy