About Anaconda Help Download Anaconda

sv2v converts SystemVerilog (IEEE 1800-2017) to Verilog (IEEE 1364-2005), with an emphasis on supporting synthesizable language constructs.

Label Latest Version
old v0.0.0_0390_g92d827f
main 0.0.2_0001_gdd9f040

© 2024 Anaconda, Inc. All Rights Reserved. (v4.0.3) Legal | Privacy Policy