About Anaconda Help Download Anaconda

sv2v converts SystemVerilog (IEEE 1800-2017) to Verilog (IEEE 1364-2005), with an emphasis on supporting synthesizable language constructs.

Type Size Name Uploaded Downloads Labels
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.5_0025_ge9f9696-20201018_215202.tar.bz2  3 years and 11 months ago 755 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.5_0025_ge9f9696-20201012_171341.tar.bz2  3 years and 11 months ago 34 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.5_0025_ge9f9696-20201008_151850.tar.bz2  3 years and 11 months ago 27 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.5_0025_ge9f9696-20201006_132122.tar.bz2  4 years and 1 day ago 0 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.5_0025_ge9f9696-20201002_094407.tar.bz2  4 years and 5 days ago 30 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.5_0023_g34171c3-20200928_125452.tar.bz2  4 years and 9 days ago 0 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.5_0021_g6d907e0-20200924_170135.tar.bz2  4 years and 13 days ago 25 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.5_0021_g6d907e0-20200923_144930.tar.bz2  4 years and 14 days ago 1 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.5_0021_g6d907e0-20200921_133616.tar.bz2  4 years and 16 days ago 4 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.5_0021_g6d907e0-20200916_091654.tar.bz2  4 years and 21 days ago 27 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.5_0021_g6d907e0-20200916_072439.tar.bz2  4 years and 21 days ago 0 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.5_0018_ga170536-20200902_114536.tar.bz2  4 years and 1 month ago 7024 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.5_0018_ga170536-20200827_093048.tar.bz2  4 years and 1 month ago 115 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.5_0017_g7f0c33a-20200819_081639.tar.bz2  4 years and 1 month ago 43 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.5_0004_g5161a9e-20200808_110217.tar.bz2  4 years and 1 month ago 70 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.5_0002_g698e3b0-20200729_161304.tar.bz2  4 years and 2 months ago 101 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.4_0060_g39519dd-20200724_154236.tar.bz2  4 years and 2 months ago 37 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.4_0057_g359a3de-20200723_171057.tar.bz2  4 years and 2 months ago 13 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.4_0049_ge6e62e8-20200720_145630.tar.bz2  4 years and 2 months ago 23 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.4_0035_gb2291a2-20200713_220637.tar.bz2  4 years and 2 months ago 43 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.4_0035_gb2291a2-20200713_125615.tar.bz2  4 years and 2 months ago 6 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.4_0020_g296e246-20200708_083630.tar.bz2  4 years and 2 months ago 69 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.4_0020_g296e246-20200707_183508.tar.bz2  4 years and 3 months ago 10 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.4_0018_g1903bc1-20200706_223638.tar.bz2  4 years and 3 months ago 1 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.4_0018_g1903bc1-20200706_183721.tar.bz2  4 years and 3 months ago 0 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.4_0011_g82d06b3-20200629_180127.tar.bz2  4 years and 3 months ago 28 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.4_0011_g82d06b3-20200629_171118.tar.bz2  4 years and 3 months ago 1 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.4_0011_g82d06b3-20200627_023447.tar.bz2  4 years and 3 months ago 32 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.4_0011_g82d06b3-20200626_070656.tar.bz2  4 years and 3 months ago 1 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.4_0006_g0fb97f2-20200622_144026.tar.bz2  4 years and 3 months ago 14 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.3_0050_g64f3067-20200618_151005.tar.bz2  4 years and 3 months ago 54 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.3_0050_g64f3067-20200618_145911.tar.bz2  4 years and 3 months ago 0 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.3_0050_g64f3067-20200618_123107.tar.bz2  4 years and 3 months ago 4 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.3_0048_g487685e-20200616_235629.tar.bz2  4 years and 3 months ago 19 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.3_0047_g5d02b91-20200616_235629.tar.bz2  4 years and 3 months ago 0 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.3_0046_g99428b2-20200616_181438.tar.bz2  4 years and 3 months ago 0 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.3_0046_g99428b2-20200616_095844.tar.bz2  4 years and 3 months ago 3 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.3_0046_g99428b2-20200616_072426.tar.bz2  4 years and 3 months ago 4 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.3_0046_g99428b2-20200616_005527.tar.bz2  4 years and 3 months ago 0 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.3_0046_g99428b2-20200615_224805.tar.bz2  4 years and 3 months ago 1 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.3_0038_g2f5b746-20200610_010955.tar.bz2  4 years and 3 months ago 53 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.3_0038_g2f5b746-20200609_154002.tar.bz2  4 years and 3 months ago 3 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.3_0038_g2f5b746-20200609_133140.tar.bz2  4 years and 3 months ago 1 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.3_0038_g2f5b746-20200609_085703.tar.bz2  4 years and 3 months ago 1 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.3_0013_g790312d-20200529_175848.tar.bz2  4 years and 4 months ago 142 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.3_0013_g790312d-20200527_075234.tar.bz2  4 years and 4 months ago 17 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.3_0013_g790312d-20200525_093958.tar.bz2  4 years and 4 months ago 9 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.3_0013_g790312d-20200514_163723.tar.bz2  4 years and 4 months ago 127 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.3_0013_g790312d-20200508_090456.tar.bz2  4 years and 4 months ago 70 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.3_0008_g2ac236d-20200423_182548.tar.bz2  4 years and 5 months ago 40 main

© 2024 Anaconda, Inc. All Rights Reserved. (v4.0.3) Legal | Privacy Policy