About Anaconda Help Download Anaconda

sv2v converts SystemVerilog (IEEE 1800-2017) to Verilog (IEEE 1364-2005), with an emphasis on supporting synthesizable language constructs.

Label Latest Version
main 0.0.5_0025_ge9f9696

© 2024 Anaconda, Inc. All Rights Reserved. (v4.0.2) Legal | Privacy Policy