About Anaconda Help Download Anaconda

sv2v converts SystemVerilog (IEEE 1800-2017) to Verilog (IEEE 1364-2005), with an emphasis on supporting synthesizable language constructs.

Click on a badge to see how to embed it in your web page
badge
https://anaconda.org/litex-hub/zachjs-sv2v/badges/version.svg
badge
https://anaconda.org/litex-hub/zachjs-sv2v/badges/latest_release_date.svg
badge
https://anaconda.org/litex-hub/zachjs-sv2v/badges/latest_release_relative_date.svg
badge
https://anaconda.org/litex-hub/zachjs-sv2v/badges/platforms.svg
badge
https://anaconda.org/litex-hub/zachjs-sv2v/badges/license.svg
badge
https://anaconda.org/litex-hub/zachjs-sv2v/badges/downloads.svg

© 2024 Anaconda, Inc. All Rights Reserved. (v4.0.2) Legal | Privacy Policy