About Anaconda Help Download Anaconda

sv2v converts SystemVerilog (IEEE 1800-2017) to Verilog (IEEE 1364-2005), with an emphasis on supporting synthesizable language constructs.

Filters
Type Size Name Uploaded Downloads Labels
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_99_gac548ca-20210625_074734.tar.bz2  3 years and 3 months ago 14 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_97_g1de9b69-20210625_074734.tar.bz2  3 years and 3 months ago 12 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_91_g3eefd03-20210625_074734.tar.bz2  3 years and 3 months ago 21 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_79_ga863321-20210625_074734.tar.bz2  3 years and 4 months ago 29 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_76_g43883ef-20210625_074734.tar.bz2  3 years and 4 months ago 13 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_74_g6ee558b-20210625_074734.tar.bz2  3 years and 4 months ago 17 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_73_gff0c7b0-20210625_074734.tar.bz2  3 years and 4 months ago 25 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_72_gd32c0a1-20210625_074734.tar.bz2  3 years and 4 months ago 19 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_71_g9de4a3c-20210625_074734.tar.bz2  3 years and 4 months ago 13 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_52_ga47afa9-20210316_201220.tar.bz2  3 years and 5 months ago 253 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_51_gecaaec9-20210316_201220.tar.bz2  3 years and 5 months ago 21 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_50_gd2a18e0-20210316_201220.tar.bz2  3 years and 5 months ago 29 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_47_g24a79ff-20210316_201220.tar.bz2  3 years and 5 months ago 10 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_46_ge0e2963-20210316_201220.tar.bz2  3 years and 5 months ago 10 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_45_ge52de9d-20210316_201220.tar.bz2  3 years and 5 months ago 22 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_37_g280d3dc-20210316_201220.tar.bz2  3 years and 5 months ago 92 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_36_g315733f-20210316_201220.tar.bz2  3 years and 5 months ago 18 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_35_g74a10a8-20210316_201220.tar.bz2  3 years and 5 months ago 29 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_34_gfbde7aa-20210316_201220.tar.bz2  3 years and 5 months ago 14 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_33_g801955f-20210316_201220.tar.bz2  3 years and 5 months ago 17 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_32_geae46b7-20210316_201220.tar.bz2  3 years and 5 months ago 98 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_31_g68fa829-20210316_201220.tar.bz2  3 years and 5 months ago 40 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_28_g12c57ec-20210316_201220.tar.bz2  3 years and 6 months ago 34 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_27_g2885e21-20210316_201220.tar.bz2  3 years and 6 months ago 14 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_26_gc59334c-20210316_201220.tar.bz2  3 years and 6 months ago 23 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_25_g10b30d7-20210316_201220.tar.bz2  3 years and 6 months ago 13 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_24_g5cc4dce-20210316_201220.tar.bz2  3 years and 6 months ago 12 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_23_gba270ac-20210316_201220.tar.bz2  3 years and 6 months ago 10 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_22_gb0b7962-20210316_201220.tar.bz2  3 years and 6 months ago 37 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_21_ge6263d6-20210316_201220.tar.bz2  3 years and 6 months ago 25 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_20_g5a8801a-20210316_201220.tar.bz2  3 years and 6 months ago 56 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_19_gbdc7b5a-20210316_201220.tar.bz2  3 years and 6 months ago 34 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_18_gcfff359-20210316_201220.tar.bz2  3 years and 6 months ago 26 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_17_g499bd58-20210316_201220.tar.bz2  3 years and 6 months ago 75 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_16_gdc19b5f-20210316_201220.tar.bz2  3 years and 6 months ago 13 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_14_g1ba5ab2-20210316_201220.tar.bz2  3 years and 6 months ago 17 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_12_g04d6fa6-20210316_201220.tar.bz2  3 years and 6 months ago 10 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_7_g7f79147-20210316_201220.tar.bz2  3 years and 6 months ago 10 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_6_g5f26e75-20210316_201220.tar.bz2  3 years and 6 months ago 21 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_3_g2a4d1cc-20210316_201220.tar.bz2  3 years and 7 months ago 49 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_2_g5ac7a79-20210316_201220.tar.bz2  3 years and 7 months ago 81 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_1_gc6dbdd0-20210316_201220.tar.bz2  3 years and 7 months ago 88 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.6_52_gc29c6e0-20210316_201220.tar.bz2  3 years and 7 months ago 69 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.6_44_gda07619-20210225_135318.tar.bz2  3 years and 8 months ago 230 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.6_44_gda07619-20210224_102348.tar.bz2  3 years and 8 months ago 11 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.6_42_g80d75d2-20210222_100620.tar.bz2  3 years and 8 months ago 22 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.5_0025_ge9f9696-20201123_164126.tar.bz2  3 years and 11 months ago 315 main
conda 1.1 MB | linux-64/zachjs-sv2v-0.0.5_0025_ge9f9696-20201120_205532.tar.bz2  3 years and 11 months ago 231070 main

© 2024 Anaconda, Inc. All Rights Reserved. (v4.0.5) Legal | Privacy Policy