About Anaconda Help Download Anaconda

sv2v converts SystemVerilog (IEEE 1800-2017) to Verilog (IEEE 1364-2005), with an emphasis on supporting synthesizable language constructs.

Filters
Type Size Name Uploaded Downloads Labels
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.10_11_g9bab044-20230214_175409.tar.bz2  1 year and 8 months ago 7 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.10_11_g9bab044-20230214_090510.tar.bz2  1 year and 8 months ago 6 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.10_11_g9bab044-20230131_213614.tar.bz2  1 year and 9 months ago 7 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.10_11_g9bab044-20230116_180937.tar.bz2  1 year and 9 months ago 10 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.10_11_g9bab044-20230116_045347.tar.bz2  1 year and 9 months ago 6 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.10_11_g9bab044-20230110_140709.tar.bz2  1 year and 9 months ago 4 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.10_11_g9bab044-20230105_132458.tar.bz2  1 year and 9 months ago 8 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.10_10_gc840bcd-20230105_132458.tar.bz2  1 year and 10 months ago 4 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.10_10_gc840bcd-20230103_121429.tar.bz2  1 year and 10 months ago 7 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.10_10_gc840bcd-20221230_205008.tar.bz2  1 year and 10 months ago 6 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.10_10_gc840bcd-20221223_060448.tar.bz2  1 year and 10 months ago 7 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.10_5_g36cff4a-20221223_060448.tar.bz2  1 year and 10 months ago 5 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.10_5_g36cff4a-20221223_035555.tar.bz2  1 year and 10 months ago 4 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.10_5_g36cff4a-20221222_035134.tar.bz2  1 year and 10 months ago 6 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.10_5_g36cff4a-20221219_071034.tar.bz2  1 year and 10 months ago 4 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.10_5_g36cff4a-20221219_070954.tar.bz2  1 year and 10 months ago 5 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.10_5_g36cff4a-20221206_125647.tar.bz2  1 year and 11 months ago 5 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.10_5_g36cff4a-20221104_084554.tar.bz2  2 years and 3 days ago 7 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.10_5_g36cff4a-20221025_073533.tar.bz2  2 years and 9 days ago 6 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.10_3_g086eb78-20221025_073533.tar.bz2  2 years and 13 days ago 5 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.10_3_g086eb78-20221019_163619.tar.bz2  2 years and 15 days ago 5 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.10_1_gc00f508-20221019_163619.tar.bz2  2 years and 19 days ago 5 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.10_1_gc00f508-20220921_210118.tar.bz2  2 years and 1 month ago 8 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.10_1_gc00f508-20220921_114248.tar.bz2  2 years and 1 month ago 6 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.10_1_gc00f508-20220914_153753.tar.bz2  2 years and 1 month ago 5 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.9_8_g5105ccb-20211122_104637.tar.bz2  2 years and 11 months ago 26 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.9_8_g5105ccb-20211005_122659.tar.bz2  3 years and 20 days ago 181 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.9_7_gc843efd-20211005_122659.tar.bz2  3 years and 24 days ago 31 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.9_6_g150b7f2-20211005_122659.tar.bz2  3 years and 27 days ago 16 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.9_5_gfd64d4e-20211005_122659.tar.bz2  3 years and 28 days ago 15 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.9_4_gd1d81eb-20211005_122659.tar.bz2  3 years and 30 days ago 21 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.9_3_g84edbae-20211005_122659.tar.bz2  3 years and 1 month ago 23 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.9_2_gf061e88-20211005_122659.tar.bz2  3 years and 1 month ago 31 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.8_16_gcd45696-20210625_074734.tar.bz2  3 years and 2 months ago 345 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.8_13_g4ded2a5-20210625_074734.tar.bz2  3 years and 2 months ago 12 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.8_11_g30acc3e-20210625_074734.tar.bz2  3 years and 2 months ago 30 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.8_10_g536eba4-20210625_074734.tar.bz2  3 years and 2 months ago 18 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.8_6_g7e9fb33-20210625_074734.tar.bz2  3 years and 3 months ago 15 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.8_5_gc5691d9-20210625_074734.tar.bz2  3 years and 3 months ago 11 main
conda 1.5 MB | linux-64/zachjs-sv2v-0.0.7_133_g2a551e1-20210625_074734.tar.bz2  3 years and 3 months ago 17 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_130_g1aa30ea-20210625_074734.tar.bz2  3 years and 3 months ago 22 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_128_g93ba497-20210625_074734.tar.bz2  3 years and 3 months ago 11 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_126_g5345a72-20210625_074734.tar.bz2  3 years and 3 months ago 13 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_123_g1311e44-20210625_074734.tar.bz2  3 years and 3 months ago 18 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_122_g1e6fa7b-20210625_074734.tar.bz2  3 years and 3 months ago 22 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_116_g8a55411-20210625_074734.tar.bz2  3 years and 3 months ago 12 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_112_g16a13ee-20210625_074734.tar.bz2  3 years and 3 months ago 20 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_111_geda9a34-20210625_074734.tar.bz2  3 years and 3 months ago 27 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_107_g57ef23e-20210625_074734.tar.bz2  3 years and 3 months ago 28 main
conda 1.4 MB | linux-64/zachjs-sv2v-0.0.7_101_g9bc946c-20210625_074734.tar.bz2  3 years and 3 months ago 41 main

© 2024 Anaconda, Inc. All Rights Reserved. (v4.0.5) Legal | Privacy Policy