About Anaconda Help Download Anaconda

SymbiFlow / packages / zachjs-sv2v 0.0.2_0001_gdd9f040

sv2v converts SystemVerilog (IEEE 1800-2017) to Verilog (IEEE 1364-2005), with an emphasis on supporting synthesizable language constructs.

Installers

Info: This package contains files in non-standard labels.
  • linux-64 v0.0.5_0004_g5161a9e

conda install

To install this package run one of the following:
conda install symbiflow::zachjs-sv2v
conda install symbiflow/label/old::zachjs-sv2v

Description


© 2024 Anaconda, Inc. All Rights Reserved. (v4.0.3) Legal | Privacy Policy