CMD + K

zachjs-sv2v

Community

sv2v converts SystemVerilog (IEEE 1800-2017) to Verilog (IEEE 1364-2005), with an emphasis on supporting synthesizable language constructs.

Installation

To install this package, run one of the following:

Conda
$conda install symbiflow::zachjs-sv2v

Usage Tracking

v0.0.2_0001_gdd9f040
v0.0.1_0044_g400c009
v0.0.1_0009_g2dcd35a
v0.0.1_0003_gdd0eb59
v0.0.1_0002_g9f180f9
0.0.5_0025_ge9f9696
6 / 8 versions selected
Total downloads: 0

About

Summary

sv2v converts SystemVerilog (IEEE 1800-2017) to Verilog (IEEE 1364-2005), with an emphasis on supporting synthesizable language constructs.

Information Last Updated

Mar 25, 2025 at 16:25

License

BSD

Total Downloads

10.9K

Platforms

Linux 64 Version: 0.0.5_0025_ge9f9696