About Anaconda Help Download Anaconda

SymbiFlow / packages

Package Name Access Summary Updated
capnproto public An insanely fast data interchange format and capability-based RPC system. 2023-06-16
capnproto-java public Cap'n Proto in pure Java 2023-06-16
symbiflow-vtr-gui public The Verilog-to-Routing (VTR) project is a world-wide collaborative effort to provide a open-source framework for conducting FPGA architecture and CAD research and development. The VTR design flow takes as input a Verilog description of a digital circuit, and a description of the target FPGA architecture. 2023-06-16
symbiflow-vtr public The Verilog-to-Routing (VTR) project is a world-wide collaborative effort to provide a open-source framework for conducting FPGA architecture and CAD research and development. The VTR design flow takes as input a Verilog description of a digital circuit, and a description of the target FPGA architecture. 2023-06-16
verilator-uhdm public No Summary 2023-06-16
uhdm-integration-verilator public No Summary 2023-06-16
surelog-uhdm public No Summary 2023-06-16
prjxray-db public Project X-Ray Database: XC7 Series. 2023-06-16
prjxray-tools public Documenting the Xilinx 7-series bit-stream format. 2023-06-16
vtr-no-gui public The Verilog-to-Routing (VTR) project is a world-wide collaborative effort to provide a open-source framework for conducting FPGA architecture and CAD research and development. The VTR design flow takes as input a Verilog description of a digital circuit, and a description of the target FPGA architecture. 2023-06-16
nextpnr-ice40 public nextpnr aims to be a vendor neutral, timing driven, FOSS FPGA place and route tool. 2023-06-16
prjxray public Documenting the Xilinx 7-series bit-stream format. 2023-06-16
symbiflow-toolchain-xray public Conda metapackage combining VtR, Yosys and Yosys plugins. 2023-06-16
nextpnr-xilinx public nextpnr aims to be a vendor neutral, timing driven, FOSS FPGA place and route tool. 2023-06-16
surelog public Parser/Compiler for SystemVerilog 2023-06-16
verible public The main mission is to parse SystemVerilog (IEEE 1800-2017) for a wide variety of applications. 2023-06-16
moore public HDL compiler based on LLHD 2023-06-16
sv-parser public SystemVerilog parser library fully complient with IEEE 1800-2017 2023-06-16
slang public Parser and compiler library for SystemVerilog 2023-06-16
sigrok-cli public The sigrok project aims at creating a portable, cross-platform, Free/Libre/Open-Source signal analysis software suite that supports various device types (e.g. logic analyzers, oscilloscopes, and many more). 2023-06-16
libxml2 public The XML C parser and toolkit of Gnome 2023-06-16
netlistsvg public netlistsvg draws an SVG schematic from a yosys JSON netlist. 2023-06-16
tree-sitter-verilog public Verilog grammar for tree-sitter 2023-06-16
odin_ii public Odin II is used for logic synthesis and elaboration, converting a subset of the Verilog Hardware Description Language (HDL) into a BLIF netlist. 2023-06-16
zachjs-sv2v public sv2v converts SystemVerilog (IEEE 1800-2017) to Verilog (IEEE 1364-2005), with an emphasis on supporting synthesizable language constructs. 2023-06-16
libusb public A cross-platform library to access USB devices 2023-06-16
nextpnr public nextpnr aims to be a vendor neutral, timing driven, FOSS FPGA place and route tool. 2023-06-16
verilator public Verilator is the fastest free Verilog HDL simulator, and beats most commercial simulators. 2023-06-16
openocd public OpenOCD provides on-chip programming and debugging support with a layered architecture of JTAG interface and TAP support 2023-06-16
binutils-riscv32-elf public A set of programming tools for creating and managing binary programs, object files, libraries, profile data, and assembly source code. 2023-06-16
vtr public The Verilog-to-Routing (VTR) project is a world-wide collaborative effort to provide a open-source framework for conducting FPGA architecture and CAD research and development. The VTR design flow takes as input a Verilog description of a digital circuit, and a description of the target FPGA architecture. 2023-06-16
icestorm public Project IceStorm aims at documenting the bitstream format of Lattice iCE40 FPGAs and providing simple tools for analyzing and creating bitstream files. 2023-06-16
iverilog public Icarus Verilog (iverilog) is a Verilog simulation and synthesis tool. It operates as a compiler, compiling source code written in Verilog (IEEE-1364) into some target format. For batch simulation, the compiler can generate an intermediate form called vvp assembly. This intermediate form is executed by the ``vvp' command. For synthesis, the compiler generates netlists in the desired format. 2023-06-16

© 2024 Anaconda, Inc. All Rights Reserved. (v4.0.3) Legal | Privacy Policy