About Anaconda Help Download Anaconda

antmicro / packages

Package Name Access Summary Updated
gcc-ppc64le-linux-musl public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2025-03-25
gcc-riscv64-elf-newlib public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2025-03-25
capnproto-java public Cap'n Proto in pure Java 2025-03-25
capnproto public An insanely fast data interchange format and capability-based RPC system. 2025-03-25
wishbone-tool public All-in-one Wishbone binary, available for a variety of platforms. Useful for interacting with the internal Wishbone bridge on a device. 2025-03-25
dtc public Device Tree Compiler (dtc) toolchain for working with device tree source and binary files and also libfdt, a utility library for reading and manipulating the binary format. 2025-03-25
gcc-riscv64-elf-nostdc public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2025-03-25
binutils-riscv64-elf public A set of programming tools for creating and managing binary programs, object files, libraries, profile data, and assembly source code. 2025-03-25
openocd public OpenOCD provides on-chip programming and debugging support with a layered architecture of JTAG interface and TAP support 2025-03-25
iceprog public Project IceStorm aims at documenting the bitstream format of Lattice iCE40 FPGAs and providing simple tools for analyzing and creating bitstream files. 2025-03-25
libftdi public FTDI USB driver with bitbang mode 2025-03-25
dfu-util public Device Firmware Upgrade Utilities 2025-03-25
fxload public A continuation of the fxload tool from linux-hotplug. 2025-03-25
libxml2 public The XML C parser and toolkit of Gnome 2025-03-25
flterm public Serial boot program for MiSoC 2025-03-25
libusb public A cross-platform library to access USB devices 2025-03-25
icefunprog public Programmer for Devantech iCE40 modules, iceFUN and iceWerx 2025-03-25
nextpnr-xilinx public nextpnr aims to be a vendor neutral, timing driven, FOSS FPGA place and route tool. 2025-03-25
nextpnr-ecp5 public nextpnr aims to be a vendor neutral, timing driven, FOSS FPGA place and route tool. 2025-03-25
uhdm-integration-yosys public No Summary 2025-03-25
nextpnr-ice40 public nextpnr aims to be a vendor neutral, timing driven, FOSS FPGA place and route tool. 2025-03-25
yosys-uhdm public No Summary 2025-03-25
uhdm-integration-verilator public No Summary 2025-03-25
nextpnr-generic public nextpnr aims to be a vendor neutral, timing driven, FOSS FPGA place and route tool. 2025-03-25
arachne-pnr public Arachne-pnr implements the place and route step of the hardware compilation process for FPGAs. It accepts as input a technology-mapped netlist in BLIF format, as output by the Yosys [0] synthesis suite for example. It currently targets the Lattice Semiconductor iCE40 family of FPGAs. Its output is a textual bitstream representation for assembly by the IceStorm icepack command. The output of icepack is a binary bitstream which can be uploaded to a hardware device. Together, Yosys, arachne-pnr and IceStorm provide an fully open-source Verilog-to-bistream tool chain for iCE40 1K and 8K FPGA development. Yosys is a framework for Verilog RTL synthesis. It currently has extensive Verilog-2005 support and provides a basic set of synthesis algorithms for various application domains. 2025-03-25

© 2025 Anaconda, Inc. All Rights Reserved. (v4.0.10) Legal | Privacy Policy