About Anaconda Help Download Anaconda

TimVideos / packages

Package Name Access Summary Updated
sigrok-cli public The sigrok project aims at creating a portable, cross-platform, Free/Libre/Open-Source signal analysis software suite that supports various device types (e.g. logic analyzers, oscilloscopes, and many more). 2023-06-16
gcc-riscv64-elf-nostdc public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
gcc-riscv64-linux-musl public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
gcc-riscv64-elf-newlib public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
gdb-riscv64-elf public GDB, the GNU Project debugger, allows you to see what is going on "inside" another program while it executes -- or what another program was doing at the moment it crashed. 2023-06-16
toolchain-riscv64-linux-musl public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
gcc-ppc64le-linux-musl public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
binutils-ppc64le-elf public A set of programming tools for creating and managing binary programs, object files, libraries, profile data, and assembly source code. 2023-06-16
binutils-riscv64-elf public A set of programming tools for creating and managing binary programs, object files, libraries, profile data, and assembly source code. 2023-06-16
toolchain-sh-linux-musl public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
gcc-sh-elf-nostdc public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
gcc-sh-linux-musl public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
gdb-sh-elf public GDB, the GNU Project debugger, allows you to see what is going on "inside" another program while it executes -- or what another program was doing at the moment it crashed. 2023-06-16
binutils-sh-elf public A set of programming tools for creating and managing binary programs, object files, libraries, profile data, and assembly source code. 2023-06-16
gcc-sh-elf-newlib public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
nextpnr-ecp5 public nextpnr aims to be a vendor neutral, timing driven, FOSS FPGA place and route tool. 2023-06-16
nextpnr-ice40 public nextpnr aims to be a vendor neutral, timing driven, FOSS FPGA place and route tool. 2023-06-16
nextpnr-generic public nextpnr aims to be a vendor neutral, timing driven, FOSS FPGA place and route tool. 2023-06-16
dfu-util public Device Firmware Upgrade Utilities 2023-06-16
gcc-or1k-linux-musl public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
gcc-riscv32-linux-musl public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
prjtrellis public Project Trellis enables a fully open-source flow for ECP5 FPGAs using Yosys for Verilog synthesis and nextpnr for place and route. Project Trellis itself provides the device database and tools for bitstream creation. 2023-06-16
fxload public A continuation of the fxload tool from linux-hotplug. 2023-06-16
toolchain-riscv32-linux-musl public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
toolchain-or1k-linux-musl public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
isl public a thread-safe C library for manipulating sets and relations of integer points bounded by affine constraints. 2023-06-16
icefunprog public Programmer for Devantech iCE40 modules, iceFUN and iceWerx 2023-06-16
libusb public A cross-platform library to access USB devices 2023-06-16
libftdi public FTDI USB driver with bitbang mode 2023-06-16
iceprog public Project IceStorm aims at documenting the bitstream format of Lattice iCE40 FPGAs and providing simple tools for analyzing and creating bitstream files. 2023-06-16
nextpnr public nextpnr aims to be a vendor neutral, timing driven, FOSS FPGA place and route tool. 2023-06-16
gdb-riscv32-elf public GDB, the GNU Project debugger, allows you to see what is going on "inside" another program while it executes -- or what another program was doing at the moment it crashed. 2023-06-16
gcc-riscv32-elf-nostdc public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
gcc-riscv32-elf-newlib public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
icestorm public Project IceStorm aims at documenting the bitstream format of Lattice iCE40 FPGAs and providing simple tools for analyzing and creating bitstream files. 2023-06-16
arachne-pnr public Arachne-pnr implements the place and route step of the hardware compilation process for FPGAs. It accepts as input a technology-mapped netlist in BLIF format, as output by the Yosys [0] synthesis suite for example. It currently targets the Lattice Semiconductor iCE40 family of FPGAs. Its output is a textual bitstream representation for assembly by the IceStorm icepack command. The output of icepack is a binary bitstream which can be uploaded to a hardware device. Together, Yosys, arachne-pnr and IceStorm provide an fully open-source Verilog-to-bistream tool chain for iCE40 1K and 8K FPGA development. Yosys is a framework for Verilog RTL synthesis. It currently has extensive Verilog-2005 support and provides a basic set of synthesis algorithms for various application domains. 2023-06-16
vtr public The Verilog-to-Routing (VTR) project is a world-wide collaborative effort to provide a open-source framework for conducting FPGA architecture and CAD research and development. The VTR design flow takes as input a Verilog description of a digital circuit, and a description of the target FPGA architecture. 2023-06-16
yosys public Yosys is a framework for Verilog RTL synthesis. It currently has extensive Verilog-2005 support and provides a basic set of synthesis algorithms for various application domains. 2023-06-16
gcc-or1k-elf-newlib public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
gcc-or1k-elf-nostdc public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
iverilog public Icarus Verilog (iverilog) is a Verilog simulation and synthesis tool. It operates as a compiler, compiling source code written in Verilog (IEEE-1364) into some target format. For batch simulation, the compiler can generate an intermediate form called vvp assembly. This intermediate form is executed by the ``vvp' command. For synthesis, the compiler generates netlists in the desired format. 2023-06-16
binutils-sh2-elf public A set of programming tools for creating and managing binary programs, object files, libraries, profile data, and assembly source code. 2023-06-16
gcc-lm32-elf-newlib public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
flterm public Serial boot program for MiSoC 2023-06-16
binutils-riscv32-elf public A set of programming tools for creating and managing binary programs, object files, libraries, profile data, and assembly source code. 2023-06-16
gcc-lm32-elf-nostdc public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16
verilator public Verilator is the fastest free Verilog HDL simulator, and beats most commercial simulators. 2023-06-16
gdb-or1k-elf public GDB, the GNU Project debugger, allows you to see what is going on "inside" another program while it executes -- or what another program was doing at the moment it crashed. 2023-06-16
gdb-lm32-elf public GDB, the GNU Project debugger, allows you to see what is going on "inside" another program while it executes -- or what another program was doing at the moment it crashed. 2023-06-16
gcc-or1k-elf public The GNU Compiler Collection includes front ends for C, C++, Objective-C, Fortran, Java, Ada, and Go, as well as libraries for these languages (libstdc++, libgcj,...). 2023-06-16

© 2024 Anaconda, Inc. All Rights Reserved. (v4.0.1) Legal | Privacy Policy