About Anaconda Help Download Anaconda

LiteX-Hub / packages / slang v0.5_197_g24743e22

Parser and compiler library for SystemVerilog

Installers

  • linux-64 v2.0_217_g537d819b

conda install

To install this package run one of the following:
conda install litex-hub::slang

Description


© 2024 Anaconda, Inc. All Rights Reserved. (v4.0.2) Legal | Privacy Policy